Xilinx moltiplicatori

M

masai_mara

Guest
In grado di bloccare i moltiplicatori essere dedotta in Xilinx da un codice VHDL funzione in un pacchetto o di un modulo?

 
Io non understant la domanda, vuoi dire che si vuole fare riferimento a un moltiplicatore all'interno del vostro modulo o pacchetto?

In tal caso, la risposta è sì.Sulla base del file di aiuto del 6,3 ISE è possibile utilizzare il Multi18x18 nel codice, come è descritto qui per VHDL:

Applicazione di un moltiplicatore incorporato utilizzando VHDL
Codice:Il codice VHDL seguito viene illustrato come creare un'istanza di un Virtex-II Pro 18-bit x 18-bit embedded asincrono firmato moltiplicatore.Inserire il seguente tra l ' "architettura" e "iniziare" parole chiave.componente MULT18X18port (

A: in std_logic_vector (17 downto 0);

B: in std_logic_vector (17 downto 0);

P: out std_logic_vector (35 downto 0)

);end component;Inserire il seguente dopo la 'inizio' parola chiaveU_MULT18X18: MULT18X18port map (

A =>, - inserire il segnale in ingresso # 1

B =>, - il segnale di ingresso si inserisce # 2

P => - segnale di uscita si inserisce

);

 
Volevo sapere se posso usare funzioni mult18x18 invece di usarlo come un componente.E, analogamente, è possibile utilizzare la procedura guidata core gen generato moltiplicatore (scelto con zero pipelining) in funzione e non come un componente.Penso che dovrebbe essere possibile, come un moltiplicatore può essere la logica pura pettine.

grazie

 
HI,
Si può effettivamente fare la propria funzione e avvolgerla vicino il componente.Rende molto più facile da programmare e leggere.

BR,
/ Farhad

 
Sì, è possibile utilizzare * dedurre moltiplicatore.Se uno multiplicant è una costante, alcuni strumenti di dedurre la logica combinatoria.

 
"Infer" significa usare l'operatore * nel tuo HDL.E 'molto comodo e veloce, se condotta con attenzione.

Se si esplicitamente posto uno MULT18X18 ", allora siete un'istanza, non inferendo.

Il compilatore HDL fa il inferendo.Se si utilizza XST poi leggere il XST User Guide -> HDL tecniche di codifica -> operazioni aritmetiche -> moltiplicatori.

Leggi anche MULT_STYLE nella Guida Vincoli.

 
farhada ha scritto:

HI,

Si può effettivamente fare la propria funzione e avvolgerla vicino il componente.
Rende molto più facile da programmare e leggere.BR,

/ Farhad
 

Welcome to EDABoard.com

Sponsor

Back
Top