R
ramzitligue
Guest
Salve, vorrei duplicare un fifo blocco per cui uso:
componente fifo
port (
clock: in std_logic;
Reset: in std_logic;
WriteEnable: in std_logic;
ReadEnable: in std_logic;
DataIn: in std_logic_vector (31 downto 0);
DataOut: out std_logic_vector (31 downto 0);
FifoEmpty: out std_logic;
FifoFull: out std_logic
);
end component;
fifo_0: port map fifo (
Reset => reset,
= clock> clk,
DataIn => data_in_0,
WriteEnable => w0,
ReadEnable => rd0,
DataOut => wire5
);
fifo_1: port map fifo (
Reset => reset,
= clock> clk,
DataIn => data_in_1,
WriteEnable => w1,
ReadEnable => RD1,
DataOut => wire6
);
data_in 0, w0, wire5 ,....sono i nodi per connettersi FIFO di altri blocchi.
il problema che la duplicazione non cambiare il nome del componente, e non funziona in temporel (simulazione con ModelSim)Aggiunto dopo 34 minuti:ci sono qualche idea per risolvere il problema
componente fifo
port (
clock: in std_logic;
Reset: in std_logic;
WriteEnable: in std_logic;
ReadEnable: in std_logic;
DataIn: in std_logic_vector (31 downto 0);
DataOut: out std_logic_vector (31 downto 0);
FifoEmpty: out std_logic;
FifoFull: out std_logic
);
end component;
fifo_0: port map fifo (
Reset => reset,
= clock> clk,
DataIn => data_in_0,
WriteEnable => w0,
ReadEnable => rd0,
DataOut => wire5
);
fifo_1: port map fifo (
Reset => reset,
= clock> clk,
DataIn => data_in_1,
WriteEnable => w1,
ReadEnable => RD1,
DataOut => wire6
);
data_in 0, w0, wire5 ,....sono i nodi per connettersi FIFO di altri blocchi.
il problema che la duplicazione non cambiare il nome del componente, e non funziona in temporel (simulazione con ModelSim)Aggiunto dopo 34 minuti:ci sono qualche idea per risolvere il problema