Parallel to Serial

M

missbirdie

Guest
Ciao

Ho bisogno di aiuto in parallelo di seguito per convertitore seriale ..Qual è il valore di carico dovrebbe essere?deve essere come un orologio?causa in tutti i casi di tentato l'uscita è solo l'ultimo pezzo del registro a scorrimento ..o c'è qualcosa di sbagliato con il codice??library IEEE;
uso IEEE.std_logic_1164.ali;
uso IEEE.numeric_std.ali;

entità pts2 è
Port (CLK: in std_logic;
parallel_in: in std_logic_vector (7 downto 0);
carico: in std_logic;
serial_out: out std_logic);
fine pts2;

architettura comportamentale di pts2 è

reg segnale: std_logic_vector (7 downto 0);iniziareprocess (clk)

iniziare

if (clk'event e clk = '1 ') then

if (load = '1 ') then
reg <= parallele;
altro
reg <= reg (6 downto 0) & '0 ';
end if;

end if;

end process;

serial_out <= reg (7);

fine comportamentali;Aggiunto dopo 3 ore e 14 minuti:Ho davvero bisogno di un codice molto semplice ..cause I dunno Come posso assegnare il segnale di carico!

 
Salve

Una volta completato il trasferimento, u dovrebbe fissare carico ur = 0, e allora solo 8 bit successivi sarà dato, altrimenti l'altro dispositivo penserà che il convertitore è ancora occupato.perché

per ottenere l'8 ingressi, ci vuole un solo ciclo di clock.Ma per l'invio di serie prende 8 cicli di clock.

Conto della progettazione ur.In u sopra caso hanno una sola matrice di 8 bit per memorizzare il primo set di ingressi.Nel secondo ciclo di clock, il convertitore uscite LSB e nello stesso ciclo di clock, riceve seconda serie di ingressi.Ma u hanno un solo array.Così scrive su quella matrice e tutti i set ur prima di ingressi saranno cancellati ...

Questo problema può essere risolto facendo come il carico come inout.Una volta che la conversione Seriall è completato, il chiaro segnale di carico.Quindi il dispositivo penserà che il convertitore è pronto per la prossima serie di ingressi.

Quindi, in u progettazione ur modificare il carico come inout.Chiaro dopo la trasmissione di serie è completata

Se c'è qualche errore per favore correggetemi.Se questo è utile .......... non dimenticate di cliccare su mi ha aiutato

Grazie e saluti
Deepak

 
Quote:

Nel secondo ciclo di clock, il convertitore uscite LSB e nello stesso ciclo di clock, riceve seconda serie di ingressi.
Ma u hanno un solo array.
Così scrive su quella matrice e tutti i set ur prima di ingressi saranno cancellati ...
 
hey il codice per parellel al convertitore di serie figurano nel libro
Digital Design by
zwolinsky
il pg n. 182 circa ....
gustare

 

Welcome to EDABoard.com

Sponsor

Back
Top