help needed in simulazione VHDL in ncvlog

A

Anil Rana

Guest
Ciao a tutti
Quali sono i comandi / passi necessari nella simulazione e visualizzazione di forme d'onda per ncvlog mentre il codice è in vhdl.We non hanno la capacità di gui nel nostro ambiente cadenza.
grazie

 
Non hai la capacità manuale nel vostro ambiente sia?

Creare cds.lib adeguate e hdl.var file
filename.vhd ncvhdl (o-help per un elenco di opzioni)
ncelab top_level_entity
ncsim top_level_entity

E come ci si aspetta di visualizzare le forme d'onda senza interfaccia grafica, esattamente?Non credo che Ive ha mai sentito parlare di un impianto cadenza senza GUI

simvision wavedir.shm

 
grazie ammoccormack
ma il problema è dopo compiling.elaborating e simulando se apro la winndow forma d'onda dando al simvision cmd non posso non vedere alcuna w / fs.It può essere dovuto a I non hanno alcun file di dettagli come in Verilog.

Quote:

ammoccormack ha scritto:

E come ci si aspetta di visualizzare le forme d'onda senza interfaccia grafica, esattamente?
Non credo che Ive ha mai sentito parlare di un impianto cadenza senza GUIsimvision wavedir.shm
 
Hi Anil,
Io non capisco che cosa intendi per "non abbiamo GUI" - c'è una licenza speciale?In ogni caso, guardare indietro in questo forum, questa domanda è stato risposto più volte, in breve:

ncsim top_cfg-tcl

ncsim> Sonda-shm-all-approfondita di tutti gli
ncsim> Esegui; uscita

Perché non provare "cdsdoc" o cercare in $ CDS_INST_DIR / doc?

 
Quote:

Io non capisco che cosa intendi per "non abbiamo GUI" - c'è una licenza speciale?
 
Codice aji_vlsi per eseguire il comando ncsim Tcl è un bene.Quello che hai in dumping tuo sonde in una directory shm, si può fare simvision *. shm dalla riga di comando, e se questo non dovesse funzionare, potrebbe essere necessario utilizzare l'opzione-vcd al comando della sonda e utilizzare un altro visualizzatore di forme d'onda.

 
ncvlog è un parser Verilog SOLO .....

sono le tue cercando di usare VHDL in ambiente NCverilog?

 
yeah, ncvlog solo per Verilog, non comprendono VHDL.
ncvlog fa parte del ncsim, ncsim comprendono Verilog e VHDL.
Hai avuto funzione ncsim?

 
La descrizione di un simulatore NCverilog

Simulatore NcVerilog è composto di tre parti.ncvlog, il parser Verilog; ncelab, il compilatore Verilog nativo (elaberator) e ncsim, il motore di simulazione Verilog.

I passaggi per la simulazione NCverilog sono ncvlog, ncelab e ncsim.Allo stesso tempo, il simulatore fornisce un modo facile per questi utenti VerilogXL, il comando "ncverilog".Se si è utilizzato VerilogXL prima, è possibile modificare il Verilog "nel simulatore VerilogXL a" ncverilog ".

 
I Lucano sì sto usando VHDL in ncverilog.e ncvhdl uso cmds per compilare e simulare, per visualizzare i forme d'onda bisogno di aiuto, dal momento che può essere fatto utilizzando le opzioni di ncsim-gui, e non abbiamo che la funzionalità supportata o diciamo che non hanno licenza.
Che 's perché ho bisogno di aiuto per l'apertura simvision e forme d'onda di osservazione.
Grazie

 

Welcome to EDABoard.com

Sponsor

Back
Top