generazione di impulsi (100kHz frequenza del 40% Duty Cycle)

S

SRIDHARG

Guest
Voglio dare attuazione ad una DC per .. convertitore DC per questo, mi devo treno di impulsi per attivare il MOSFET switch ... so plz darmi codice VHDL (100kHz di frequenza, il che il 40% ontime ... 60 % di sconto per ogni tempo )..... polso o suggerire in che modo si possono avere gli impulsi utilizzando blocchi Xilinx .... plz rispondere me il più presto possibile ...

 
Salve
è molto semplice.definire l'entità e collegare un clock di 1 MHz e di impostare un segnale alto per 4 periodo di clock e impostare ad un livello basso per 6 periodo di clock.

 
library IEEE;
uso IEEE.std_logic_1164.ali;
uso IEEE.STD_LOGIC_ARITH.ALL;
uso IEEE.STD_LOGIC_UNSIGNED.ALL;

entità PWM è
port (CLK: in std_logic;
Pulse: out std_logic
);
end entity;

Comportamentale di PWM Architettura è
iniziare
principali: process (clk)
variabile contatore: std_logic_vector (3 downto 0): = (others => '0 ');
iniziare
se clk = '1 'e poi clk'event
Se count <X "4", quindi
Pulse <= '1 ';
count: = count 1 ';
altro
Pulse <= '0 ';
Se count = X "9", quindi
count: X = "0";
altro
count: = count 1 ';
end if;
end if;
end if;
end process;
end architecture;

 

Welcome to EDABoard.com

Sponsor

Back
Top