aiutare dc_shell script-t

O

ouahhabi5

Guest
So che è una domanda stupida, ma io sono solo uno bigginer in questo pensa,
that actions :

Voglio scrivere uno script tcl dalla lingua

che le azioni:
Reset-design
-ceation l'orologio
vigore, ad eccezione degli ingressi porta il porto clk
-vigore le uscite porto
-adottare le condizioni di funzionamento che devono specificare nella libreria tecnologica
-Selezione automatica della wire_load_model
- Definire le driving_cell a monte (ad eccezione clk)
-definire la massima capacità ingressi sul porto
-definire il carico capacitivo uscite sul portoil spefications sono:
-Frequenza di clock di 200 Mhz (5ns)
-Condizioni di funzionamento Représenté wc de la libraire core_slow.db (1.62V, 125 ° C)
-Wire_load_model Selezione automatica
-Vincoli sulla ingressi 80% del periodo di clock
-Limiti in uscita il 20% del periodo di clock
Cell-alimentazione ingressi t f f de 1 a 1 t pin T Q t
-Capacità max 5 ingressi sul T e 2 a 1 t pin T A T
-Un certo numero di blocchi in dotazione con le uscite 3Ho appena scritto questo lignes:
reset_design
create_clock periodo di 5-nome myclk [get_ports clk]
set_input_delay 1 max-orologio myclk [remove_from_collection [all_ input] [get_ports clk]]
set_output_delay 1-maxplz dimmi se è corretto, e ho bisogno di qualche aiuto per continuare a capo lo script grazie per tutti

 
Qui si va da DC Il suo materiale di formazione!
DC traininng materiale è un buon riferimento, mentre la scrittura di script ur!

Codice:

# Crea l'utente definito variabili

impostare CLK_PORT [get_ports clk]

impostare CLK_PERIOD 4,0

impostare WC_SKEW 0,25

impostare DRV_CELL buf1a3

DRV_PIN serie "Y"

impostare OUTPUT_LOAD [load_of ssc_core_slow/buf1a2/A]

impostare INPUT_DELAY 2,0

impostare OUTPUT_DELAY 2,0

impostare ALL_INS_EX_CLK \

[remove_from_collection [all_inputs] [get_ports clk]]# Inizia con una progettazione unconstrainted

reset_designset_operating_conditions-max slow_125_1.62create_clock periodo CLK_PERIOD-$ $ CLK_PORT nome my_clkset_dont_touch_network [get_clocks my_clk]set_clock_uncertainty $ WC_SKEW [get_clocks my_clk]set_driving_cell-lib_cell $ DRV_CELL pin DRV_PIN $ $ ALL_INS_EX_CLKset_load $ OUTPUT_LOAD [all_outputs]set_input_delay $ INPUT_DELAY-max-orologio my_clk $ ALL_INS_EX_CLKset_output_delay $ OUTPUT_DELAY-max-orologio my_clk [all_outputs]

 
thanks you very much realy i dont know what can i do without this forum.

questo è un grande aiuto da voi nand_gates

grazie davvero di cuore i dont sapere cosa posso fare senza questo forum.can you tell more about DC training material
and if you have it can you give it to me.

plz nand_gates
si può dire di più su DC materiale di formazione
e se si dispone di essa si può dare a me.la ringrazio ancora e ancora [/ img]

 

Welcome to EDABoard.com

Sponsor

Back
Top