20M Orologio da 50M

A

Aafaq

Guest
può generare uno 20M orologio da 50 M. Ma si deve, con spigoli vivi, senza i gittering bisogno di uno molto preciso (usando spartan 3e1600)
con i molto preciso dire che ho bisogno di spigoli vivi e duty cycle del cinquanta per cento
e Zerox 100 plz caricare il codice ora, se possibile,
Last edited by Aafaq il 27 Febbraio, 2008 9:46, modificato 2 volte in totale

 
Lei non ha fatto commenti su hardware si utilizza ... bene se si tratta di un xillinx
dispositivo è disponibile in DCM .... andare per DCM.Use porto CLKDV
e dividere per un valore di 2,5 .....
Se non sai come usare DCM riferimento alla
librerie Xilinx Spartan nella tua cartella documenti ...
per quanto riguarda ..

 
Ok kvingle è giusto, ma se non si utilizza Xilinx è possibile utilizzare un divisore di 2,5.Ho il suo codice.Se avete bisogno di farmelo sapere.

 
Hi Aafaq, le tue parole "molto precisa" sono vaghi.Si può chiarire il vostro requisito?

Gittering?Vuoi dire jitter?E 'impossibile eliminare completamente il jitter.

 
questo il codice:

library IEEE;
uso IEEE.std_logic_1164.ali;

entità divide2_5 è
port (
clk: in std_logic;
reset: in std_logic;
div: out std_logic
);
fine divide2_5;

v architettura di divide2_5 è
segnale d, q, p: std_logic_vector (1 downto 0);
fb segnale: std_logic;

iniziare

process (clk, reset)
iniziare
if (reset = '0 ') then
q (0) <= '0 ';
elsif (clk'event e clk = '1 ') then
q (0) <= p (0);
end if;
end process;

process (clk, reset)
iniziare
if (reset = '0 ') then
p (0) <= '0 ';
elsif (clk'event e clk = '1 ') then
p (0) <= d (0);
end if;
end process;

process (clk, reset)
iniziare
if (reset = '0 ') then
q (1) <= '0 ';
elsif (clk'event e clk = '0 ') then
q (1) <= p (1);
end if;
end process;

process (clk, reset)
iniziare
if (reset = '0 ') then
p (1) <= '0 ';
elsif (clk'event e clk = '0 ') then
p (1) <= d (1);
end if;
end process;

fb <= not (q (0) o q (1) o p (1) o P (0));
d (0) <= fb;
d (1) <= fb;
- div <= fb; - 20%
p <= p (0) o p (1), - 40%

fine st;se è utile premere mi ha aiutato!

 

Welcome to EDABoard.com

Sponsor

Back
Top